The Early History of Accellera

Before joining together in 2011, Accellera and OSCI created complimentary standards and achieved technical milestones to advance the design and development of silicon chips and their end products.

Accellera Standards Success

Accellera Standards Success


OSCI Standards Success

OSCI Standards Success


Mainstream design flows include tools and intellectual property that are based on both OSCI- and Accellera-developed standards. As a combined organization, Accellera Systems Initiative is well equipped to accelerate existing standards efforts and foster new ones. With the recent addition of transaction-level modeling to the IEEE-1666 SystemC Standard, the design community has expressed interest in exploring technical synergy with the Universal Verification Methodology (UVM) and other languages, as well as more transparent flow from SystemC to SystemVerilog.